Skip to main content

Showing 1–17 of 17 results for author: Kahng, B

Searching in archive cs. Search in all archives.
.
  1. arXiv:2506.17247  [pdf, ps, other

    cs.LG cs.AI

    Recursive Learning-Based Virtual Buffering for Analytical Global Placement

    Authors: Andrew B. Kahng, Yiting Liu, Zhiang Wang

    Abstract: Due to the skewed scaling of interconnect versus cell delay in modern technology nodes, placement with buffer porosity (i.e., cell density) awareness is essential for timing closure in physical synthesis flows. However, existing approaches face two key challenges: (i) traditional van Ginneken-Lillis-style buffering approaches are computationally expensive during global placement; and (ii) machine… ▽ More

    Submitted 7 June, 2025; originally announced June 2025.

  2. arXiv:2506.08332  [pdf, other

    cs.AI

    ORFS-agent: Tool-Using Agents for Chip Design Optimization

    Authors: Amur Ghose, Andrew B. Kahng, Sayak Kundu, Zhiang Wang

    Abstract: Machine learning has been widely used to optimize complex engineering workflows across numerous domains. In the context of integrated circuit design, modern flows (e.g., going from a register-transfer level netlist to physical layouts) involve extensive configuration via thousands of parameters, and small changes to these parameters can have large downstream impacts on desired outcomes - namely de… ▽ More

    Submitted 9 June, 2025; originally announced June 2025.

  3. arXiv:2411.09120  [pdf, other

    cs.LG

    Neural Graph Simulator for Complex Systems

    Authors: Hoyun Choi, Sungyeop Lee, B. Kahng, Junghyo Jo

    Abstract: Numerical simulation is a predominant tool for studying the dynamics in complex systems, but large-scale simulations are often intractable due to computational limitations. Here, we introduce the Neural Graph Simulator (NGS) for simulating time-invariant autonomous systems on graphs. Utilizing a graph neural network, the NGS provides a unified framework to simulate diverse dynamical systems with v… ▽ More

    Submitted 13 November, 2024; originally announced November 2024.

  4. arXiv:2404.13049  [pdf, other

    cs.AR cs.LG

    DG-RePlAce: A Dataflow-Driven GPU-Accelerated Analytical Global Placement Framework for Machine Learning Accelerators

    Authors: Andrew B. Kahng, Zhiang Wang

    Abstract: Global placement is a fundamental step in VLSI physical design. The wide use of 2D processing element (PE) arrays in machine learning accelerators poses new challenges of scalability and Quality of Results (QoR) for state-of-the-art academic global placers. In this work, we develop DG-RePlAce, a new and fast GPU-accelerated global placement framework built on top of the OpenROAD infrastructure, wh… ▽ More

    Submitted 19 June, 2024; v1 submitted 15 March, 2024; originally announced April 2024.

  5. arXiv:2312.10589  [pdf, other

    cs.AI cs.LG

    NN-Steiner: A Mixed Neural-algorithmic Approach for the Rectilinear Steiner Minimum Tree Problem

    Authors: Andrew B. Kahng, Robert R. Nerem, Yusu Wang, Chien-Yi Yang

    Abstract: Recent years have witnessed rapid advances in the use of neural networks to solve combinatorial optimization problems. Nevertheless, designing the "right" neural model that can effectively handle a given optimization problem can be challenging, and often there is no theoretical understanding or justification of the resulting neural model. In this paper, we focus on the rectilinear Steiner minimum… ▽ More

    Submitted 19 December, 2023; v1 submitted 16 December, 2023; originally announced December 2023.

    Comments: This paper is the complete version with appendix of the paper accepted in AAAI'24 with the same title

  6. arXiv:2310.00618  [pdf, other

    cs.LG

    GNRK: Graph Neural Runge-Kutta method for solving partial differential equations

    Authors: Hoyun Choi, Sungyeop Lee, B. Kahng, Junghyo Jo

    Abstract: Neural networks have proven to be efficient surrogate models for tackling partial differential equations (PDEs). However, their applicability is often confined to specific PDEs under certain constraints, in contrast to classical PDE solvers that rely on numerical differentiation. Striking a balance between efficiency and versatility, this study introduces a novel approach called Graph Neural Runge… ▽ More

    Submitted 1 October, 2023; originally announced October 2023.

    Comments: 14 pages, 6 figures, 1 table

  7. arXiv:2308.12120  [pdf, other

    cs.LG cs.AR

    An Open-Source ML-Based Full-Stack Optimization Framework for Machine Learning Accelerators

    Authors: Hadi Esmaeilzadeh, Soroush Ghodrati, Andrew B. Kahng, Joon Kyung Kim, Sean Kinzer, Sayak Kundu, Rohan Mahapatra, Susmita Dey Manasi, Sachin Sapatnekar, Zhiang Wang, Ziqing Zeng

    Abstract: Parameterizable machine learning (ML) accelerators are the product of recent breakthroughs in ML. To fully enable their design space exploration (DSE), we propose a physical-design-driven, learning-based prediction framework for hardware-accelerated deep neural network (DNN) and non-DNN ML algorithms. It adopts a unified approach that combines backend power, performance, and area (PPA) analysis wi… ▽ More

    Submitted 23 August, 2023; originally announced August 2023.

    Comments: This is an extended version of our work titled "Physically Accurate Learning-based Performance Prediction of Hardware-accelerated ML Algorithms" published in MLCAD 2022

  8. arXiv:2306.16767  [pdf, other

    cs.AR cs.LG

    Performance Analysis of DNN Inference/Training with Convolution and non-Convolution Operations

    Authors: Hadi Esmaeilzadeh, Soroush Ghodrati, Andrew B. Kahng, Sean Kinzer, Susmita Dey Manasi, Sachin S. Sapatnekar, Zhiang Wang

    Abstract: Today's performance analysis frameworks for deep learning accelerators suffer from two significant limitations. First, although modern convolutional neural network (CNNs) consist of many types of layers other than convolution, especially during training, these frameworks largely focus on convolution layers only. Second, these frameworks are generally targeted towards inference, and lack support fo… ▽ More

    Submitted 29 June, 2023; originally announced June 2023.

    Journal ref: ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 30, Issue 1, Article No.: 3, Pages 1 - 34, Oct. 2024

  9. arXiv:2305.06917  [pdf, other

    cs.AR cs.AI cs.LG

    A Machine Learning Approach to Improving Timing Consistency between Global Route and Detailed Route

    Authors: Vidya A. Chhabria, Wenjing Jiang, Andrew B. Kahng, Sachin S. Sapatnekar

    Abstract: Due to the unavailability of routing information in design stages prior to detailed routing (DR), the tasks of timing prediction and optimization pose major challenges. Inaccurate timing prediction wastes design effort, hurts circuit performance, and may lead to design failure. This work focuses on timing prediction after clock tree synthesis and placement legalization, which is the earliest oppor… ▽ More

    Submitted 2 October, 2023; v1 submitted 11 May, 2023; originally announced May 2023.

  10. arXiv:2305.06167  [pdf, other

    cs.LG

    K-SpecPart: Supervised embedding algorithms and cut overlay for improved hypergraph partitioning

    Authors: Ismail Bustany, Andrew B. Kahng, Ioannis Koutis, Bodhisatta Pramanik, Zhiang Wang

    Abstract: State-of-the-art hypergraph partitioners follow the multilevel paradigm that constructs multiple levels of progressively coarser hypergraphs that are used to drive cut refinement on each level of the hierarchy. Multilevel partitioners are subject to two limitations: (i) hypergraph coarsening processes rely on local neighborhood structure without fully considering the global structure of the hyperg… ▽ More

    Submitted 3 June, 2023; v1 submitted 6 May, 2023; originally announced May 2023.

  11. arXiv:2304.13215  [pdf, other

    cs.AR

    PROBE3.0: A Systematic Framework for Design-Technology Pathfinding with Improved Design Enablement

    Authors: Suhyeong Choi, Jinwook Jung, Andrew B. Kahng, Minsoo Kim, Chul-Hong Park, Bodhisatta Pramanik, Dooseok Yoon

    Abstract: We propose a systematic framework to conduct design-technology pathfinding for PPAC in advanced nodes. Our goal is to provide configurable, scalable generation of process design kit (PDK) and standard-cell library, spanning key scaling boosters (backside PDN and buried power rail), to explore PPAC across given technology and design parameters. We build on PROBE2.0, which addressed only area and co… ▽ More

    Submitted 25 April, 2023; originally announced April 2023.

    Comments: 14 pages, 17 figures, submitted to IEEE Trans. on CAD

  12. arXiv:2302.11014  [pdf, other

    cs.LG cs.AI

    Assessment of Reinforcement Learning for Macro Placement

    Authors: Chung-Kuan Cheng, Andrew B. Kahng, Sayak Kundu, Yucheng Wang, Zhiang Wang

    Abstract: We provide open, transparent implementation and assessment of Google Brain's deep reinforcement learning approach to macro placement and its Circuit Training (CT) implementation in GitHub. We implement in open source key "blackbox" elements of CT, and clarify discrepancies between CT and Nature paper. New testcases on open enablements are developed and released. We assess CT alongside multiple alt… ▽ More

    Submitted 27 March, 2023; v1 submitted 21 February, 2023; originally announced February 2023.

    Comments: There are eight pages and one page for reference. It includes five figures and seven tables. This paper has been invited to ISPD 2023

    ACM Class: B.7.2

  13. arXiv:1804.10267  [pdf, other

    physics.soc-ph cs.SI

    Dismantling Efficiency and Network Fractality

    Authors: Yoon Seok Im, B. Kahng

    Abstract: Network dismantling is to identify a minimal set of nodes whose removal breaks the network into small components of subextensive size. Because finding the optimal set of nodes is an NP-hard problem, several heuristic algorithms have been developed as alternative methods, for instance, the so-called belief propagation-based decimation (BPD) algorithm and the collective influence (CI) algorithm. Her… ▽ More

    Submitted 26 April, 2018; originally announced April 2018.

    Comments: 7 pages, 6 figures

    Journal ref: Phys. Rev. E 98, 012316 (2018)

  14. arXiv:1409.1147  [pdf, other

    cond-mat.stat-mech cs.SI physics.soc-ph

    Efficient algorithm to compute mutually connected components in interdependent networks

    Authors: S. Hwang, S. Choi, Deokjae Lee, B. Kahng

    Abstract: Mutually connected components (MCCs) play an important role as a measure of resilience in the study of interdependent networks. Despite their importance, an efficient algorithm to obtain the statistics of all MCCs during the removal of links has thus far been absent. Here, using a well-known fully dynamic graph algorithm, we propose an efficient algorithm to accomplish this task. We show that the… ▽ More

    Submitted 24 February, 2015; v1 submitted 3 September, 2014; originally announced September 2014.

    Comments: 6 pages 5 figures

    Journal ref: Phys. Rev. E 91, 022814 (2015)

  15. arXiv:1109.4803  [pdf, ps, other

    physics.soc-ph cond-mat.stat-mech cs.SI

    Suppression effect on explosive percolations

    Authors: Y. S. Cho, B. Kahng

    Abstract: When a group of people unknown to each other meet and familiarize among themselves, over time they form a community on a macroscopic scale. This phenomenon can be understood in the context of percolation transition (PT) of networks, which takes place continuously in the classical random graph model. Recently, a modified model was introduced in which the formation of the community was suppressed. T… ▽ More

    Submitted 29 December, 2011; v1 submitted 22 September, 2011; originally announced September 2011.

    Comments: Contacting mail address : [email protected], 4figures

    Journal ref: Phys. Rev. Lett 107, 275703 (2011)

  16. arXiv:cs/0508045  [pdf, ps, other

    cs.DS

    Multicommodity Flow Algorithms for Buffered Global Routing

    Authors: Christoph Albrecht, Andrew B. Kahng, Ion I. Mandoiu, Alexander Zelikovsky

    Abstract: In this paper we describe a new algorithm for buffered global routing according to a prescribed buffer site map. Specifically, we describe a provably good multi-commodity flow based algorithm that finds a global routing minimizing buffer and wire congestion subject to given constraints on routing area (wirelength and number of buffers) and sink delays. Our algorithm allows computing the tradeoff… ▽ More

    Submitted 6 August, 2005; originally announced August 2005.

    ACM Class: B.7.2; F.2.2

  17. arXiv:math/0309081  [pdf, ps, other

    math.CO cs.IT

    Asymmetric binary covering codes

    Authors: Joshua N. Cooper, Robert B. Ellis, Andrew B. Kahng

    Abstract: An asymmetric binary covering code of length n and radius R is a subset C of the n-cube Q_n such that every vector x in Q_n can be obtained from some vector c in C by changing at most R 1's of c to 0's, where R is as small as possible. K^+(n,R) is defined as the smallest size of such a code. We show K^+(n,R) is of order 2^n/n^R for constant R, using an asymmetric sphere-covering bound and probab… ▽ More

    Submitted 4 September, 2003; originally announced September 2003.

    Comments: 16 pages

    MSC Class: 94B75

    Journal ref: J. Combin. Theory Ser. A 100 (2002), no. 2, 232--249